ReadyValidPipeline constructor

ReadyValidPipeline(
  1. Logic clk,
  2. Logic validPipeIn,
  3. Logic readyPipeOut,
  4. {List<List<Conditional> Function(PipelineStageInfo p)> stages = const [],
  5. Map<Logic, Const> resetValues = const {},
  6. List<Logic> signals = const [],
  7. Logic? reset}
)

Constructs a pipeline with Ready/Valid protocol at each stage.

The validPipeIn signal indicates that the input to the pipeline is valid. The readyPipeOut signal indicates that the receiver of the output of the pipeline is ready to pull out of the pipeline.

The validPipeOut signal indicates that valid contents are ready to be received at the output of the pipeline. The readyPipeIn signal indicates that the pipeline is ready to accept new content.

The pipeline will only progress through any stage, including the output, if both valid and ready are asserted at the same time. This pipeline is capable of having bubbles, but they will collapse if downstream stages are backpressured.

If contents are pushed in when the pipeline is not ready, they will be dropped.

Implementation

ReadyValidPipeline(
  Logic clk,
  Logic validPipeIn,
  Logic readyPipeOut, {
  List<List<Conditional> Function(PipelineStageInfo p)> stages = const [],
  Map<Logic, Const> resetValues = const {},
  List<Logic> signals = const [],
  Logic? reset,
}) : this.multi(
        [clk],
        validPipeIn,
        readyPipeOut,
        stages: stages,
        resetValues: resetValues,
        signals: signals,
        reset: reset,
      );