External Modules

ROHD can instantiate external SystemVerilog modules. The ExternalSystemVerilogModule constructor requires the top level SystemVerilog module name. When ROHD generates SystemVerilog for a model containing an ExternalSystemVerilogModule, it will instantiate instances of the specified definitionName. This is useful for integration related activities.

The ROHD Cosim package enables SystemVerilog cosimulation with ROHD by adding cosimulation capabilities to an ExternalSystemVerilogModule.

Updated: